逻辑函数F=的化简结果是:

admin2017-08-07  20

问题 逻辑函数F=的化简结果是:

选项 A、F=AB+BC
B、F=
C、F=A+B+C
D、F=ABC

答案D

解析 由逻辑电路的基本关系可得结果,变换中用到了逻辑电路的摩根定理。
F==AB.BC=ABC
转载请注明原文地址:https://jikaoti.com/ti/6JuhFFFM
0

最新回复(0)